http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.
변환된 중국어를 복사하여 사용하시면 됩니다.
고광현,조영일,Ko, Kwang-Hyun,Cho, Young-Il 국립한국농수산대학교 교육개발센터 2012 현장농업연구지 = Journal of practical agricultural resear Vol.14 No.1
Control independence has been put forward as a significant new source of instruction-level parallelism for superscalar processors. In branch prediction mechanisms, all instructions after a mispredicted branch have to be squashed and then instructions of a correct path have to be re-fetched and re-executed. This paper presents a new branch misprediction recovery mechanism to reduce the number of instructions squashed on a misprediction. Detection of control independent instructions is accomplished with the help of the static method using a profiling and the dynamic method using a control flow of program sequences. We show that the suggested branch misprediction recovery mechanism improves the performance by 2~7% on a 4-issue processor, by 4~15% on an 8-issue processor and by 8~28% on a 16-issue processor.
농업정보기술을 위한 ILP 프로세서에서 정·동적 분류를 이용한 결과 값 예측기
고광현,조영일 한국농업정보과학회 2003 농업정보과학 Vol.4 No.1
Recent works have addressed that the limit imposed by data dependencies could be overcome to some extent by the data value prediction. A hybrid value predictor could obtain high prediction accuracy using advantages of various predictors, however it had a defect that identical instructions had overlapping entries in all predictors. In this paper, we proposed a new hybrid value predictor which achieved high performance by using information of static and dynamic classification. The proposed predictor could enhance prediction accuracy and efficiently decreased prediction table size of the predictor, because it allocated each instruction into single best-suited predictor during a fetch stage by using information of static classification. Simulation results based on the SimpleScalar/PISA tool set and the SPECint95 benchmarks showed the average correct prediction rate of 86.3% by using the static classification mechanism. Also, we achieve the average correction prediction rate of 87.9% by using static and dynamic classification mechanism.
Genome Wide Association Studies Using Multiple-lactation Breeding Value in Holsteins
고광현,오재돈,김희발,박경도,이준호 아세아·태평양축산학회 2015 Animal Bioscience Vol.28 No.3
A genome wide association study was conducted using estimated breeding value (EBV) for milk production traits from 1st to 4th lactation. Significant single nucleotide polymorphism (SNP) markers were selected for each trait and the differences were compared by lactation. DNA samples were taken from 456 animals with EBV which are Holstein proven bulls whose semen is being sold or the daughters of old proven bulls whose semen is no longer being sold in Korea. High density genome wide SNP genotype was investigated and the significance of markers associated with traits was tested using the breeding value estimated by a multiple lactation model as a dependent variant. As the result of significance comparisons by lactations, several differences were found between the first lactation and subsequent lactations (from second to 4th lactation). A similar trend was noted in mean deviation and correlation of the estimated effects by lactation. Since there was a difference in the genes associated with EBV for each trait between first and subsequent lactations, a multi-lactation model in which lactation is considered as a different trait is genetically useful. Also, significant markers in all lactations and common markers for different traits were detected, which can be used as markers for quantitative trait loci exploration and marker assisted selection in milk production traits.
분기 히스토리의 모험적 갱신을 허용하는 전역 히스토리 기반 분기예측기에서 분기예측실패를 위한 간단한 복구 메커니즘
고광현,조영일 한국정보과학회 2005 정보과학회논문지 : 시스템 및 이론 Vol.32 No.6
Conditional branch prediction is an important technique for improving processor performance. Branch mispredictions, however, waste a large number of cycles, inhibit out-of-order execution, and waste electric power on mis-speculated instructions. Hence, the branch predictor with higher accuracy is necessary for good processor performance. In global-history-based predictors like gshare and GAg, many mispredictions come from commit update of the history. Some works on this subject have discussed the need for speculative update of the history and recovery mechanisms for branch mispredictions.In this paper, we present a simple mechanism for recovering the branch history after a misprediction. The proposed mechanism adds an age_counter to the original predictor and doubles the size of the branch history register. The age_counter counts the number of outstanding branches and uses it to recover the branch history register.Simulation results on the SimpleScalar 3.0/PISA tool set and the SPECINT95 benchmarks show that gshare and GAg with the proposed recovery mechanism improved the average prediction accuracy 조건 분기예측은 프로세서 성능 개선을 위한 중요한 기술이다. 그러나, 분기예측실패는 많은 사이클을 낭비시키며, 비순서적 실행을 방해하고, 잘못 예측된 명령어들을 수행하게 되므로 전력을 낭비한다. 따라서 높은 정확도를 갖는 분기예측기는 좋은 성능을 갖는 프로세서를 위해 중요하다.gshare와 GAg같은 전역 히스토리를 기반으로 하는 예측기에서는 히스토리의 명령어 완료시간 갱신(commit update)에 의해 많은 분기예측실패가 발생한다. 그런 문제를 해결하기 위해 히스토리를 모험적으로 갱신하고, 분기예측실패 시 히스토리를 복구시키는 메커니즘에 관한 연구의 필요성이 제시되었고, 연구되었다.본 논문에서는 분기예측실패 발생 후 분기 히스토리를 복구하는 간단한 복구 메커니즘을 제안한다. 제안한 복구 메커니즘은 기존 분기예측기에 age_counter를 추가하고 분기 히스토리 레지스터 크기를 2배로 확장시킨다. age_counter는 미해결 분기명령어 수를 저장하며, 분기예측실패 후 분기 히스토리 레지스터를 복구하는데 사용한다.SimpleScalar 3.0/PISA 툴셋과 SPECINT95 벤치마크 프로그램에서 시뮬레이션 한 결과, 제안된 복구 메커니즘을 gshare와 GAg 예측기에 적용하였을 때 예측 정확도와 프로세서 성능을 개선시킬 수 있었음을 보여준다. GAg와 gshare 예측기에서 예측정확도는 각각 9.21%와 2.14%가 개선되었고, IPC는 18.08%와 8.75% 개선되었다.
농림수산식품분야 정보처리를 위한 적응하는 분기히스토리 길이를 갖는 분기예측 메커니즘
고광현,조영일,Ko, K.H.,Cho, Y.I. 국립한국농수산대학교 교육개발센터 2011 현장농업연구지 = Journal of practical agricultural resear Vol.13 No.1
Pipelines of processor have been growing deeper and issue widths wider over the years. If this trend continues, branch misprediction penalty will become very high. Branch misprediction is the single most significant performance limiter for improving processor performance using deeper pipelining. Therefore, more accurate branch predictor becomes an essential part of modem processors for FAFF(Food, Agriculture, Forestry, Fisheries)Information Processing. In this paper, we propose a branch prediction mechanism, using variable length history, which predicts using a bank having higher prediction accuracy among predictions from five banks. Bank 0 is a bimodal predictor which is indexed with the 12 least significant bits of the branch PC. Banks 1,2,3 and 4 are predictors which are indexed with different global history bits and the branch PC. In simulation results, the proposed mechanism outperforms gshare predictors using fixed history length of 12 and 13, up to 6.34% in prediction accuracy. Furthermore, the proposed mechanism outperforms gshare predictors using best history lengths for benchmarks, up to 2.3% in prediction accuracy.
Molecular Imaging for Theranostics in Gastroenterology: One Stone to Kill Two Birds
고광현,권창일,박종민,이후근,한나영,함기백 대한소화기내시경학회 2014 Clinical Endoscopy Vol.47 No.5
Molecular imaging in gastroenterology has become more feasible with recent advances in imaging technology, molecular genetics, and next-generation biochemistry, in addition to advances in endoscopic imaging techniques including magnified high-resolution endoscopy, narrow band imaging or autofluorescence imaging, flexible spectral imaging color enhancement, and confocal laser endomicroscopy. These developments have the potential to serve as “red flag” techniques enabling the earlier and accurate detection of mucosal abnormalities (such as precancerous lesions) beyond biomarkers, virtual histology of detected lesions, and molecular targeted therapy—the strategy of “one stone to kill two or three birds”; however, more effort should be done to be “blue ocean” benefit. This review deals with the introduction of Raman spectroscopy endoscopy, imaging mass spectroscopy, and nanomolecule development for theranostics. Imaging of molecular pathological changes in cells/tissues/organs might open the “royal road” to either convincing diagnosis of diseases that otherwise would only be detected in the advanced stages or novel therapeutic methods targeted to personalized medicine.
고광현,권창일,박소혜,한나영,이호근,김은희,함기백 대한소화기내시경학회 2013 Clinical Endoscopy Vol.46 No.6
Imaging mass spectrometry (IMS) is currently receiving large attention from the mass spectrometric community, although its use is not yet well known in the clinic. As matrix-assisted laser desorption/ionization time-of-flight (MALDI)-IMS can show the biomolecular changes in cells as well as tissues, it can be an ideal tool for biomedical diagnostics as well as the molecular diagnosis of clinical specimens, especially aimed at the prompt detection of premalignant lesions much earlier before overt mass formation, or for obtaining histologic clues from endoscopic biopsy. Besides its use for pathologic diagnosis, MALDI-IMS is also a powerful tool for the detection and localization of drugs, proteins, and lipids in tissue. Measurement of parameters that define and control the implications, challenges, and opportunities associated with the application of IMS to biomedical tissue studies might be feasible through a deep understanding of mass spectrometry. In this focused review series, new insights into the molecular processes relevant to IMS as well as other field applications are introduced.