RISS 학술연구정보서비스

검색
다국어 입력

http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.

변환된 중국어를 복사하여 사용하시면 됩니다.

예시)
  • 中文 을 입력하시려면 zhongwen을 입력하시고 space를누르시면됩니다.
  • 北京 을 입력하시려면 beijing을 입력하시고 space를 누르시면 됩니다.
닫기
    인기검색어 순위 펼치기

    RISS 인기검색어

      KCI등재

      임베디드 RISC 코어의 성능 및 전력 개선 = Performance and Power Consumption Improvement of Embedded RISC Core

      한글로보기

      https://www.riss.kr/link?id=A101326476

      • 0

        상세조회
      • 0

        다운로드
      서지정보 열기
      • 내보내기
      • 내책장담기
      • 공유하기
      • 오류접수

      부가정보

      국문 초록 (Abstract)

      본 논문에서는 임베디드 RISC 코어의 성능 및 전력 소모 개선을 위해 동적 분기예측 구조, 4원 집합연관 캐쉬 구조, ODC 연산을 이용한 클록 게이팅 기법을 제시한다. 동적 분기 예측 구조는 분...

      본 논문에서는 임베디드 RISC 코어의 성능 및 전력 소모 개선을 위해 동적 분기예측 구조, 4원 집합연관 캐쉬 구조, ODC 연산을 이용한 클록 게이팅 기법을 제시한다. 동적 분기 예측 구조는 분기 명령에 대해 다음에 실행될 명령에 대한 예측 주소를 저장하는 BTB (Branch Target Buffer)를 사용한다. 4원 집합연관 캐쉬는 네 개의 메모리 블록을 한 개의 캐쉬 블록에 사상되는 구조로서 직접사상 캐쉬에 비해 접근 실패율이 낮고 라인 교체 방식으로 Pseudo-LRU 방식을 채택하여 LRU 정보를 저장하는 비트 수를 감소시킨다. ODC를 이용한 클록게이팅 기법은 논리합성 개념인 무관조건의 입출력 ODC 조건을 찾아 클록 게이팅 로직을 삽입함으로써 동적 소비전력을 줄인다. 제시한 구조들을 임베디드 RISC 코어인 OpenRISC 코어에 적용하여 성능을 측정한 결과, 기존 OpenRISC 코어 대비 실행시간이 약 29% 향상 되었고, Chartered $0.18{\mu}m$ 라이브러리를 이용하여 동적 전력을 측정한 결과, 기존 OpenRISC 코어 대비 소비전력이 16% 이상 감소하였다.

      더보기

      다국어 초록 (Multilingual Abstract)

      This paper presents a branch prediction algorithm and a 4-way set-associative cache for performance improvement of embedded RISC core and a clock-gating algorithm using ODC (Observability Don't Care) operation to improve the power consumption of the c...

      This paper presents a branch prediction algorithm and a 4-way set-associative cache for performance improvement of embedded RISC core and a clock-gating algorithm using ODC (Observability Don't Care) operation to improve the power consumption of the core. The branch prediction algorithm has a structure using BTB(Branch Target Buffer) and 4-way set associative cache has lower miss rate than direct-mapped cache. Pseudo-LRU Policy, which is one of the Line Replacement Policies, is used for decreasing the number of bits that store LRU value. The clock gating algorithm reduces dynamic power consumption. As a result of estimation of performance and dynamic power, the performance of the OpenRISC core applied the proposed architecture is improved about 29% and dynamic power of the core using Chartered $0.18{\mu}m$ technology library is reduced by 16%.

      더보기

      참고문헌 (Reference)

      1 이종익, "캐쉬 메모리에서 True-LRU 알고리즘과 Pseudo-LRU 알고리즘의 성능 비교" 23 (23): 1148-1160, 1996

      2 Hongkyun Jung, "The Design of Cache Architecture in 32-bit RISC for the Performance Improvement" 308-309, 2007

      3 Damjan Lampret, "OpenRISC1200 IP Core Specification Revision 0.7"

      4 Damjan Lampret, "OpenRISC1000 Architecture Manual" 2003

      5 김형준, "OpenRISC 프로세서의 저전력 설계와 성능 개선" 79-81, 2008

      6 Christian Piguet, "Low-Power CMOS Circuits" CRC Press 2006

      7 Nikitas Alexandridis, "Design of Microprocessor based systems" Prentice Hall 1993

      8 Lee, J.K.F., "Branch Prediction Strategies and Branch Target Buffer Design" 17 (17): 6-22, 1984

      9 Pietro Babighian, "A Scalable Algorithm for RTL Insertion of Gated Clocks Based on ODCs Computation" 24 (24): 29-42, 2005

      1 이종익, "캐쉬 메모리에서 True-LRU 알고리즘과 Pseudo-LRU 알고리즘의 성능 비교" 23 (23): 1148-1160, 1996

      2 Hongkyun Jung, "The Design of Cache Architecture in 32-bit RISC for the Performance Improvement" 308-309, 2007

      3 Damjan Lampret, "OpenRISC1200 IP Core Specification Revision 0.7"

      4 Damjan Lampret, "OpenRISC1000 Architecture Manual" 2003

      5 김형준, "OpenRISC 프로세서의 저전력 설계와 성능 개선" 79-81, 2008

      6 Christian Piguet, "Low-Power CMOS Circuits" CRC Press 2006

      7 Nikitas Alexandridis, "Design of Microprocessor based systems" Prentice Hall 1993

      8 Lee, J.K.F., "Branch Prediction Strategies and Branch Target Buffer Design" 17 (17): 6-22, 1984

      9 Pietro Babighian, "A Scalable Algorithm for RTL Insertion of Gated Clocks Based on ODCs Computation" 24 (24): 29-42, 2005

      더보기

      동일학술지(권/호) 다른 논문

      분석정보

      View

      상세정보조회

      0

      Usage

      원문다운로드

      0

      대출신청

      0

      복사신청

      0

      EDDS신청

      0

      동일 주제 내 활용도 TOP

      더보기

      주제

      연도별 연구동향

      연도별 활용동향

      연관논문

      연구자 네트워크맵

      공동연구자 (7)

      유사연구자 (20) 활용도상위20명

      인용정보 인용지수 설명보기

      학술지 이력

      학술지 이력
      연월일 이력구분 이력상세 등재구분
      2027 평가예정 재인증평가 신청대상 (재인증)
      2021-01-01 평가 등재학술지 유지 (재인증) KCI등재
      2018-01-01 평가 등재학술지 선정 (계속평가) KCI등재
      2017-12-01 평가 등재후보로 하락 (계속평가) KCI등재후보
      2013-01-01 평가 등재학술지 유지 (등재유지) KCI등재
      2011-11-23 학술지명변경 외국어명 : THE JOURNAL OF The KOREAN Institute Of Maritime information & Communication Science -> Journal of the Korea Institute Of Information and Communication Engineering KCI등재
      2011-11-16 학회명변경 영문명 : International Journal of Information and Communication Engineering(IJICE) -> The Korea Institute of Information and Communication Engineering KCI등재
      2011-11-14 학회명변경 한글명 : 한국해양정보통신학회 -> 한국정보통신학회
      영문명 : 미등록 -> International Journal of Information and Communication Engineering(IJICE)
      KCI등재
      2010-01-01 평가 등재학술지 유지 (등재유지) KCI등재
      2008-01-01 평가 등재학술지 유지 (등재유지) KCI등재
      2005-01-01 평가 등재학술지 선정 (등재후보2차) KCI등재
      2004-01-01 평가 등재후보 1차 PASS (등재후보1차) KCI등재후보
      2002-07-01 평가 등재후보학술지 선정 (신규평가) KCI등재후보
      더보기

      학술지 인용정보

      학술지 인용정보
      기준연도 WOS-KCI 통합IF(2년) KCIF(2년) KCIF(3년)
      2016 0.23 0.23 0.27
      KCIF(4년) KCIF(5년) 중심성지수(3년) 즉시성지수
      0.24 0.22 0.424 0.11
      더보기

      이 자료와 함께 이용한 RISS 자료

      나만을 위한 추천자료

      해외이동버튼