RISS 학술연구정보서비스

검색
다국어 입력

http://chineseinput.net/에서 pinyin(병음)방식으로 중국어를 변환할 수 있습니다.

변환된 중국어를 복사하여 사용하시면 됩니다.

예시)
  • 中文 을 입력하시려면 zhongwen을 입력하시고 space를누르시면됩니다.
  • 北京 을 입력하시려면 beijing을 입력하시고 space를 누르시면 됩니다.
닫기
    인기검색어 순위 펼치기

    RISS 인기검색어

      KCI등재 SCIE SCOPUS

      Time Division Multiplexing based Test Access for Stacked ICs

      한글로보기

      https://www.riss.kr/link?id=A106049266

      • 0

        상세조회
      • 0

        다운로드
      서지정보 열기
      • 내보내기
      • 내책장담기
      • 공유하기
      • 오류접수

      부가정보

      다국어 초록 (Multilingual Abstract)

      The test cost and complexity of stacked ICs (SICs) are higher than those of 2D-ICs because an SIC is tested at more stages before shipping. The existing test access architectures and their optimization techniques for SICs underutilize the tester-chann...

      The test cost and complexity of stacked ICs (SICs) are higher than those of 2D-ICs because an SIC is tested at more stages before shipping. The existing test access architectures and their optimization techniques for SICs underutilize the tester-channel frequency because the test data is shifted at low scan-shift frequency due to test power constrain. Moreover, the wafer-level test frequency is constrained by limited probe-pin to pad contact current; however, the package-level test can be performed at a higher frequency yet lower than the tester-channel frequency offered by the testers. Therefore, we present a time-multiplexed test access architecture for SICs that leverages the tester-channel frequency at both the wafer-level and package-level tests. Unlike exiting architectures, the proposed architecture does not require the knowledge of the number of dies to be stacked and the hierarchical tier of each die. The proposed architecture is discussed for SICs based on IEEE standards 1149.1 and 1500. The experimental results with a synthetic SIC, constructed with ITC’02 benchmark SoCs, show significant reduction in the test time. Furthermore, the analyses based on the test frequency limits and the number of stacked dies show that the proposed architecture scales well with increasing frequency limits and the number of stacked dies.

      더보기

      목차 (Table of Contents)

      • Abstract
      • Ⅰ. INTRODUCTION
      • Ⅱ. RELATED WORK AND LIMITATIONS
      • Ⅲ. TIME-MULTIPLEXED TEST ACCESS ARCHITECTURE FOR SICS
      • Ⅳ. EXPERIMENTAL RESULTS
      • Abstract
      • Ⅰ. INTRODUCTION
      • Ⅱ. RELATED WORK AND LIMITATIONS
      • Ⅲ. TIME-MULTIPLEXED TEST ACCESS ARCHITECTURE FOR SICS
      • Ⅳ. EXPERIMENTAL RESULTS
      • Ⅴ. TEST TIME ANALYSIS OF SICS WITH TDM
      • Ⅵ. CONCLUSION
      • REFERENCES
      더보기

      참고문헌 (Reference)

      1 S. Deutsch, "Uncertainty-Aware Robust Optimization of Test-Access Architectures for 3D Stacked ICs" 1-10, 2013

      2 L.-T. Wang, "Ultrascan: Using Time-Division Demultiplexing/Multiplexing (TDDM/TDM) with VirtualScan for Test Cost Reduction" 946-953, 2005

      3 M. A. Ansari, "Time-Multiplexed Test Access Architecture for Stacked Integrated Circuits" 13 (13): 1-6, 2016

      4 M. A. Ansari, "Time-Multiplexed 1687-Network for Test Cost Reduction"

      5 J. M. Nolen, "Time-Division-Multiplexed Test Delivery for NoC Systems" 25 (25): 44-51, 2008

      6 F. Vartziotis, "Time-Division Multiplexing for Testing DVFS-based SoCs" 34 (34): 668-681, 2015

      7 B. Noia, "Test-Architecture Optimization for TSV-Based 3D Stacked ICs" 24-29, 2010

      8 B. Noia, "Test-Architecture Optimization and Test Scheduling for TSV-Based 3-D Stacked ICs" 30 (30): 1705-1718, 2011

      9 L. Jiang, "Test Architecture Design and Optimization for Three-Dimensional SoCs" 220-225, 2009

      10 M. Agrawal, "Reuse-Based Optimization for Prebond and Postbond Testing of 3-D-Stacked ICs" 34 (34): 122-135, 2015

      1 S. Deutsch, "Uncertainty-Aware Robust Optimization of Test-Access Architectures for 3D Stacked ICs" 1-10, 2013

      2 L.-T. Wang, "Ultrascan: Using Time-Division Demultiplexing/Multiplexing (TDDM/TDM) with VirtualScan for Test Cost Reduction" 946-953, 2005

      3 M. A. Ansari, "Time-Multiplexed Test Access Architecture for Stacked Integrated Circuits" 13 (13): 1-6, 2016

      4 M. A. Ansari, "Time-Multiplexed 1687-Network for Test Cost Reduction"

      5 J. M. Nolen, "Time-Division-Multiplexed Test Delivery for NoC Systems" 25 (25): 44-51, 2008

      6 F. Vartziotis, "Time-Division Multiplexing for Testing DVFS-based SoCs" 34 (34): 668-681, 2015

      7 B. Noia, "Test-Architecture Optimization for TSV-Based 3D Stacked ICs" 24-29, 2010

      8 B. Noia, "Test-Architecture Optimization and Test Scheduling for TSV-Based 3-D Stacked ICs" 30 (30): 1705-1718, 2011

      9 L. Jiang, "Test Architecture Design and Optimization for Three-Dimensional SoCs" 220-225, 2009

      10 M. Agrawal, "Reuse-Based Optimization for Prebond and Postbond Testing of 3-D-Stacked ICs" 34 (34): 122-135, 2015

      11 G. H. Loh, "Processor Design in 3D Die-Stacking Technologies" 27 (27): 31-48, 2007

      12 B. Noia, "Optimization methods for post-bond testing of 3D stacked ICs" 28 (28): 103-120, 2012

      13 S. Wang, "Multicast Testing of Interposer-Based 2. 5D IC s : Test-Architecture Design and Test Scheduling" 23 (23): 1-25, 2018

      14 L. Jiang, "Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test-Pin-Count Constraint" 191-196, 2009

      15 "IEEE 3D-Test P1838 Working Group"

      16 H. Vranken, "Enhanced Reduced Pin-Count Test for Full-Scan Design" 18 : 129-143, 2002

      17 Y. Xie, "Design Space Exploration for 3D Architectures" 2 (2): 65-103, 2006

      18 W. R. Davis, "Demystifying 3D ICs : The Pros and Cons of Going Vertical" 22 (22): 498-510, 2005

      19 E. J. Marinissen, "Challenges and Emerging Solutions in Testing TSV-Based 2. 5D-and 3DStacked ICs" 2 : 1277-1282, 2012

      20 C.-C. Yang, "A TSV Repair Scheme Using Enhanced Test Access Architecture for 3-D ICs" 7-12, 2013

      21 E. J. Marinissen, "A Structured and Scalable Test Access Architecture for TSV-Based 3D Stacked ICs" 269-274, 2010

      22 E. J. Marinissen, "A Set of Benchmarks for Modular Testing of SOCs" 519-528, 2002

      더보기

      동일학술지(권/호) 다른 논문

      분석정보

      View

      상세정보조회

      0

      Usage

      원문다운로드

      0

      대출신청

      0

      복사신청

      0

      EDDS신청

      0

      동일 주제 내 활용도 TOP

      더보기

      주제

      연도별 연구동향

      연도별 활용동향

      연관논문

      연구자 네트워크맵

      공동연구자 (7)

      유사연구자 (20) 활용도상위20명

      인용정보 인용지수 설명보기

      학술지 이력

      학술지 이력
      연월일 이력구분 이력상세 등재구분
      2023 평가예정 해외DB학술지평가 신청대상 (해외등재 학술지 평가)
      2020-01-01 평가 등재학술지 유지 (해외등재 학술지 평가) KCI등재
      2014-01-21 학회명변경 영문명 : The Institute Of Electronics Engineers Of Korea -> The Institute of Electronics and Information Engineers KCI등재
      2010-11-25 학술지명변경 한글명 : JOURNAL OF SEMICONDUTOR TECHNOLOGY AND SCIENCE -> JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE KCI등재
      2010-01-01 평가 등재학술지 선정 (등재후보2차) KCI등재
      2009-01-01 평가 등재후보 1차 PASS (등재후보1차) KCI등재후보
      2007-01-01 평가 등재후보학술지 선정 (신규평가) KCI등재후보
      더보기

      학술지 인용정보

      학술지 인용정보
      기준연도 WOS-KCI 통합IF(2년) KCIF(2년) KCIF(3년)
      2016 0.42 0.13 0.35
      KCIF(4년) KCIF(5년) 중심성지수(3년) 즉시성지수
      0.3 0.29 0.308 0.03
      더보기

      이 자료와 함께 이용한 RISS 자료

      나만을 위한 추천자료

      해외이동버튼